Тенденции и перспективы развития EDA-индустрии по материалам новостей специального Internet-портала www.DACafe.com Ноябрь - Декабрь 2002 Долинский М.С. Введение Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по декабрь 2002 года выглядит следующим образом: + 1. Борьба за интероперабельность 2. Требуются и начинают возникать средства отладки мультипроцессорных систем + 3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика. 4. Генераторы моделей процессоров + 5. От C++ к HDL и обратно 6. IP-компоненты процессоров + 6.1. ARM шагает по планете + 6.2. MIPS - с отставанием от ARM, но с опережением всех остальных + 6.3. И другие процессорные ядра 6.4. Сетевые процессоры 7. В мире ПЛИС + 7.1. ПЛИС расширяют сферу применения + 7.2. Развитие средств проектирования ПЛИС 7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.1. Цифровая обработка сигналов 7.3.2. Телекоммуникации 8. IP-компоненты для ПЛИС и ASIC 8.1. DSP-обработка + 8.2. Телекоммуникации н! 8.3. Шифрование + 8.4. Как распространяются IP-компоненты 9. Верификационные IP-компоненты 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. + 9.2. Другие языки и средства верификации симуляцией 9.3. Средства формальной верификации 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC + 10.1. Отладка проектов для ПЛИС 10.2. On-line - прототипирование ASIC + 10.3. Персональные средства для прототипирования ASIC 11. Отладка программного обеспечения для микроконтроллеров + 11.1. Разработки Applied Microsystems + 11.2. И все остальные н! 11.3. Сетевые микроконтроллеры н! 11.4. Другие новости мира микроконтроллеров 12. Обучение - ключ к продаже 12.1. Очные семинары 12.2. On-line - обучение н! 12.3. Университетские программы н! 12.4. Обучение через партнерские программы с центрами проектирования н! 13. Другие ключи к продаже н! 13.1. Передача маркетинга на сторону н! 13.2. Расширение географии н! 14. Интернет-технологии на службе EDA-индустрии н! 15. Специализированые СБИС Символами "н!" отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде (ноябрь-декабрь 2002 года). Символами "+" отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода. Ограничение в 30,000 знаков на статью, установленные редакцией, вынуждают существенно сократить фактический материал (первая версия материала включала около 80,000 знаков - ее можно найти по адресу http://NewIT.gsu.unibel.by/resources/articles/dolinsky/dv0212.txt). Далее приводится сокращенный (по содержанию фактического материала новостей) вариант. Вначале рассмотрим динамику развития ранее подмеченных тенденций. 1. Новости в рамках приведенной классфикации 1.1. "1. Борьба за интероперабельность" 1.1.1. Только факты 1 ноября Atmel анонсирует поддержку WPA (Wi-Fi Protected Access) для устройств 802.11b Wireless LAN Wi-Fi - это термин, используемый промышленным консорциумом для описания интероперабельных беспроводных локальных сетей. WPA - это стандарт, продвигаемый Wi-Fi Alliance, для улучшения WEP (Wireless Encryption Privacy) - методологии основанной на стандарте IEEE 802.11. Предлагаемое решение позволит просто заменять firmware существующих на рынке продуктов. WPA - это более сильное решение, чем WEP (Wired Equivalent Privacy), потому что оно базируется на промышленных стандартах, включая 802.1x и TKIP (но не включая AES - Advanced Encryption Standard). WPA использует стандарт 802.1x как основу для контроля за доступом к портам сети, аутентификации и управления ключами, а также TKIP для повышения уровня секретности разработкой случайной замены ключей с использованием RSA RC4 для шифрования. Все продукты от Atmel для беспроводных сетей отныне сертифицированы на соответствие Wi-Fi. При этом аппаратная поддержка Wi-Fi не снижает производительности устройств, а программная - снижает незначительно. В планах Atmel - обеспечить также AES шифрование для полной поддержки стандарта 802.11i после его выхода. Wi-Fi Alliance - это некоммерческая организация, основанная в 1999 году для сертификации интероперабельности продуктов IEEE 802.11 и их продвижения как стандартов для беспроводных локальных сетей. Были разработаны специальные тесты в независимой лаборатории. Членство в Wi-Fi Aliance открытое, сейчас Wi-Fi Alliance включает более 170 членов и сертифицировал более 450 продуктов. Дополнительная информация : http://www.wi-fi.org http://www.atmel.com/atmel/products/prod281.htm 9 декабря К OpenAccess присоединились Tektronix Inc., Celestry Design Technologies и Mitsubishi Electric Corporation Цель OpenAccess - создание открытого решения на базе API/базы данных, обеспечивающего интероперабельную инфраструктуру для технологий проектирования электронных изделий. OpenAccess работает под управлением некоммерческой организации Si2. Дополнительная информация : http://www.si2.org/openaccess http://www.OpenEDA.org http://www.tektronix.com http://www.celestry.com http://www.global.mitsubishielectric.com 16 декабря Cadence передает коалиции OpenAcess исходный код реализации базы данных Коалиция OpenAccess предполагает сделать этот исходный код бесплатно распространяемым с января 2003 года. Код имеет высокое качество и хорошо документирован. Cadence намерена сделать этот API и код основой для своих последующих разработок. Дополнительная информация : http://www.si2.org/openaccess http://www.OpenEDA.org http://www.cadence.com 1.1.2. Обобщения и выводы По сравнению с ранее оглашенным планом OpenAcess (четвертый квартал 2002 года - релиз исходников второй версии для членов коалиции) наблюдается полугодовое отставание, тем не менее необходимо отметить последовательное продвижение вперед в процессе создания единой открытой базы данных по проектируемой электронной системе и унифицированнного открытого API (Application Programming Interface) к ней. Необходимо также отметить появление в новостях еще одной ниши борьбы за интероперабельность - беспроводные локальные сети. Реализация такой интероперабельности лежит в основе "самоорганизующихся" беспроводных локальных сетей. 1.2. "3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика." 1.2.1. Только факты 4 ноября Xilinx выпускает полностью программируемое решение для SONET на базе Virtex-II Pro FPGA Решение основано на использовании мульти-гигабитных последовательных RocketIO трансиверов и поддерживает скорость передачи данных OC-48, OC-192 и выше. В рамках недавно объявленной Xilinx инициативы "Serial Tsunami" по ускорению последовательного ввода-вывода, поддержка SONET - это одно из многих решений, направленных на сокращение стоимости и сроков подобных разработок. SONET - один из наиболее распространенных стандартов последовательной передачи данных и голоса, используется также и в оптическом сетевом оборудовании. Предлагаемое решение включает три примера описания применения, а также схемы и программное обеспечение для Power PC, обеспечивающие совместное и раздельное использование приемника и передатчика данных по стандарту SONET. Обеспечивается создание до 24 трансиверов на базе одного чипа Virtex-II Pro. В течение этого месяца данный проект распространяется бесплатно с сайта www.xilinx.com/connectivity Инициатива "Serial Tsunami" объявлена 21 октября 2002 года. Ее цель - ускорить переход индустрии от параллельной к последовательной технологии передачи данных со скоростью от 3.125 Гигабит/сек сейчас до 10 Гигабит/сек в будущем. Дополнительная информация : http://www.xilinx.com/connectivity 18 ноября Altera выпускает средства разработки EXPA1 Комплект средств разработки EXPA1 кроме устройства EXPA1 содержит плату, содержащую 10/100 Ethernet MAC/PHY, дуплексные порты RS-232, средства отладки, 8 Мбт флеш-памяти, 32 Мбт SDRAM для исполнения ОС и приложений. Excalibur EXPA1 построен на базе процессора ARM922T и FPGA с 4,160 логическими элементами, включает также определяемый пользователем LED, модуль LCD, набор средств для соединения с дочерними платами от третьих фирм. Средства отладки включают Quartus, GNUPro (C-компилятор, ассемблер, линкер, отладчик), SOPC Builder (для построения систем на базе шин AMBA AHB и Avalon). Цена EXPA1 DK (Development Kit) - $1,195. Excalibur объединяет логику, память и процессор ARM922T. Объем логики - до 38,400 логических элементов (1,000,000 логических вентилей). Устройство Excalibur EXPA1 содержит 4,160 логических элементов. EXPA4 - 16,640 логических элементов, EXPA10 - 38,400 логических элементов. Дополнительная информация : http://www.altera.com/products/devices/excalibur/exc-index.html 20 ноября Технология прототипирования E-SIM расширяется Mentor объявила E-SIM в июне 2002 года. E-SIM позволяет разрабатывать встроенные приложения прежде чем появится целевое аппаратное обеспечение. E-SIM имеет свойства, которых нет в других средствах прототипирования. Например, E-SIM позволяет интегрировать виртуальные прототипы периферийных контроллеров (таких как Bluetooth). Это позволяет симулировать ввод-вывод. E-SIM имеет мощную скриптовую поддержку, используя которую разработчик может записать и повторить тесты, а также создать автоматическое регрессионное тестирование. E-SIM предоставляет также возможность прототипировать GUI, который является моделью человеко-машинного интерфейса конечного продукта. E-SIM работает под Windows и UNIX. Цена на E-SIM - от $4,995 за одно рабочее место. Дополнительная информация : http://www.acceleratedtechnology.com http://www.mentor.com 25 ноября Xilinx сокращает стоимость разработки систем на базе ПЛИС с CPU, выпуская новую платформу ML300 ML300 ориентирована на Virtex II Pro FPGA, со встроенным процессором PowerPC и последовательным 3.125 Гб/с трансивером RocketIO. Платформа ML300 сопровождается средствами разработки, драйверами, примерами функционирующих устройств, учебником, документацией. Возможна работа под операционными системами Wind River Systems VxWorks и MontaVista Software Linux. Платформа Ml300 доступна в двух конфигурациях: DO-V2P-ML300 (по цене $4,695) включает GNU средства разработки, ChipScope Pro, Parallel Cable IV и Xilinx Integrated Software Environment (ISE 5.1i). The DO-V2P-ML300-WRS (по цене $6,990) включает дополнительно адаптированные для Xilinx средства разработки программного обеспечения от Wind River Systems, включающие кмпиятор и средства отладки. Дополнительная информация : http://www.xilinx.com/ml300 2 декабря Mentor Graphics сделала в Seamless ко-верификационную поддержку моделей конфигурируемых пользователем процессоров ARCtangent RISC/DSP Говорит Serge Leef (генеральный менеджер Mentor Graphics System-on-Chip Verification Division): "Сложность систем на кристалле вызывает огромную потребность в проектах на базе конфигурируемых процессоров. Seamless поможет разработчикам систем на базе микропроцессоров ARCtangent моделировать взаимодействие между компонентами в виртуальной среде, обеспечивая полную функциональность до изготовления реального прототипа. Сегодня разработчики нуждаются в платформе разработки, интегрирующей возможности отладки программного и аппаратного обеспечения и Seamless является такой платформой." Процессор ARCtangent фирмы ARC - это конфигурируемый пользователем RISC/DSP процессор, синтезируемый и расширяемый. Параллельно поставляются системные программные средства, вкючая RTOS, стеки сетевых протоколов, USB и Ethernet. Эфффективная программная поддержка процессоров фирмы ARC - это результат приобретения ею MetaWare, VAutomation и Precise Software Technologies. На базе процессоров от ARC изготавливаются цифровые камеры, сетевые процессоры и set-top boxes. На ARC работает более 200 человек в Северной Америке, Европе и Израиле. Дополнительная информация : http://www.ARC.com http://www.mentor.com 1.2.2. Обобщения и выводы Xilinx и Altera - ведущие поставщики систем на кристалле последовательно продвигают на рынке свои главные продукты: Xilinx - Virtex II Pro (на базе ядра процессора Power PC), и Altera - Excalibur (на базе ядра процессора ARM). Очередной раз сделала шаг вперед Mentor, поддержав в Seamless процессоры семейства ARCtangent фирмы ARC. Безусловно Seamless - наиболее известное на сегодня средство (если вообще не единственное, реально используемое) совместной симуляции и отладки программного и аппаратного обеспечения встроенных цифровых систем. Тем удивительнее реклама той же Mentor нового продукта E-Sim для тех же целей. Из имеющихся соообщений не ясно, является сегодня E-Sim дополнением к Seamless или независимым продуктом. Поиск по базе позволил найти следующую новость: 26 июня Accelerated Technology использует E-SIM от Innoveda для 2002 г. симуляции и тестирования Accelerated Technology - это подразделение встроенных систем фирмы Mentor Graphics. E-SIM - это исполнительная платформа на хост-компьютере для разработки и тестирования программного обеспечения встроенных систем. Используя модели, E-SIM позволяет разработку ПО в отстутствие аппаратных прототипов. E-SIM также имеет мощные средства регрессионного тестирования: средства записи/воспроизведения, файлы входных стимулов, командный язык скриптов. Все это позволяет построить автоматическое тестирование и выполнять регрессионное тестирование встроенного ПО для того, чтобы отслеживать нежелательные сторонние эффекты от изменений ПО во время разработки и сопровождения. Для разработки ПО можно использовать Visual C/C++ IDE и отладчик. E-SIM доступен для ОС Win32, Sun Solaris, HP-UX и Linux. Innoveda работала над E-SIM 7 лет. E-SIM продается через Accelerated Technology по цене от $12,500. Дополнительная информация : http://www.acceleratedtechnology.com http://www.mentor.com Теперь возможно предположить следующее: 1) E-SIM, изначально созданный вне Mentor, сегодня не имеет интеграции с Seamless. 2) E-SIM предназначен для разработки программного обеспечения с высокоуровневой симуляцией аппаратного обеспечения и внешней среды. В связи с этим скорость симуляции программного обеспечения в E-SIM должно быть намного (один-три порядка) выше, чем скорость симуляции в Seamless. К недостаткам E-SIM по сравнению с Seamless нужно отнести: необходимость создавать специальные (не- HDL) модели аппаратного обеспечения и внешней среды; меньшую степень адекватности; невозможность отлаживать HDL-описания аппаратного обеспечения, симуляруя HDL-описания совместно с реальным прикладным программным обеспечением. 3) Непонятно, как E-SIM обеспечивает (и обеспечивает ли вообще) отладку программ с учетом специфики целевой архитектуры процессора (например, есть ли возможности настройки на конкретный процессор и отладки программ с использованием его ассемблера). 1.3. "5. От C++ к HDL и обратно" 1.3.1. Только факты 12 ноября AccelChip и Aldec интегрируют свои разработки AccelFPGA от AccelChip поддерживает теперь средства верификации от Aldec. Большинство разработчиков DSP систем начинают свои работы в MathWorks. Они используют язык MATLAB, на котором они могут эффективно выразить свои математические алгоритмы на поведенческом уровне. После отладки этих алгоритмов в MATLAB, AccelFGPA от AccelChip автоматически синтезирует по MATLAB-моделям оптимизированные RTL-описания. Интеграция с Aldec позволяет DSP-разработчикам симулировать полученные RTL-коды. Такой комбинированный подход экономит человеко-месяцы при разработке DSP-систем. С AccelFPGA интегрированы Active-HDL 5.2 и Riviera 2002.09. AccelChip основана в 2001 году, штаб-квартира в Schaumburg, IL. Занимается разработкой и распространением средств высокоуровневого синтеза, ускоряющих процесс проектирования чипов. Дополнительная информация : http://www.accelchip.com http://www.aldec.com 26 ноября Новое средство разработки от Xilinx - System Generator for DSP v2.3 System Generator for DSP v2.3 автоматически транслирует DSP системы, описанные с использованием MATLAB и Simulink от MathWorks в хорошо оптимизированные VHDL и IP компоненты для Xilinx FPGA. Новая версия позволяет задейстовать конвейеризованные встроенные умножители, используя пре-размещенные входные и выходные регистры чтобы достичь более высокой и предсказуемой производительности - до 285 Мгц для Xilinx Virtex II. Новая версия также имеет: - в три раза ускоренную генерацию кодов - более дюжины примеров проектов чтобы сократить время обучения - примеры создания пользовательских DSP периферийных устройств на базе архитектуры шины IBM CoreConnect Говорит Jim Waite (Voyan Technology): "Когда мы начали наш проект OptiFusion, наши DSP разработчики быстро осознали, что использование FPGA - единственный путь достичь требуемой производительности. Однако наши DSP инженеры не знали как программировать на VHDL - естественный способ ввода проектов для FPGA. Использовав System Generator for DSP, мы смогли исследовать проектное пространство и автоматически создать VHDL, тест-бенчи, и симуляционные файлы для ModelSim. Как результат, мы сократили трудозатраты на четыре человеко-месяца." System Generator for DSP + Xilinx ISE 5.1 - это весьма продуктивный способ создания DSP систем. Новая версия System Generator for DSP содержит большое число примеров проектов для цифровой коммуникации и обработки образов, вкдючая 16-QAM приемик, адаптивный эквалайзер, CORDIC процессор, 2-D DWT, фильтры и др. Оценочная копия System Generator for DSP может быть загружена с сайта. Xilinx EDK (Embedded Development Kit) позволяет отлаживать системы с процессором MicroBlaze или PowerPC на FPGA Virtex-II и Spartan-II. Имеются примеры, демонстрирующие как встроенный процессор выполняет on-line перезагрузку DSP-данных под управлением программы на хост-PC. Цена System Generator for DSP v2.3 - $1995, ($2495 с трехдневным обучением "DSP Design Flow for FPGAs"). Инициатива Xilinx XtremeDSP была введена в 2000 году. Цель- создание и распространение IP компонент для DSP. Дополнительная информация : http://www.xilinx.com/systemgenerator_dsp http://www.xilinx.com/xapp/xapp264.pdf http://www.xilinx.com/dsp 1.3.2. Обобщения и выводы Обе представленных разработки позволяют использовать MATLAB в качестве средства высококуровневого описания, моделирования и исследования проектного пространства втсроенной системы. А затем автоматически сгенерировать синтезируемое HDL-описание, которое может быть загружено в соответствующую FPGA (с помощью Xilinx ISE или Actel Active HDL/Riviera). Интересно также отметить, что фирма AccelChip основана в 2001 году. То есть, средства высокоуровневой разработки встроенных систем - новая перспективная область, которая открывает огромные возможности начинающим компаниям. 1.4. "6. IP-компоненты процессоров. 6.1. ARM шагает по планете" 1.4.1. Только факты 8 ноября National Semiconductor и ARM разрабатывают низкопотребляющую систему для наручных устройств До сих пор разработчики процессоров и производители систем управления питанием чипов создавали свои технологии раздельно. Интегрированный подход позволит сократить энергопотребление от 25 до 75%. National и ARM работают над стандартизацией интерфейса между SoC и внешним чипом управления питанием. Дополнительная информация : http://powerwise.national.com http://www.arm.com/powerwise. http://www.national.com http://www.arm.com 12 ноября Процессоры LM970x обработки образов в реальном времени от National Semiconductor Процессоры семейства LM970x имеют аппаратный конфигурируемый конвейер, который загружается встроенными процессорами CR16 и ARM7. Обеспечивается также пониженное энергопотребление. LM9704 - вместе с сенсором образов LM9648 поддерживает до 8 фреймов в секунду при полном разрешении в 1.3 медапиксел, потребляет 95 милливатт. LM9702 - вместе с сенсором образов LM9638 SXGA обеспечивает до 30 фреймов в секунду, потребляет 90 милливатт. LM901 - имеет разрешение до 8 мегапикселов, позволяет программировать ядро ARM7. Цена - от $6.50 до $9.10 в партиях от 10,000 штук. Дополнительная информация : http://www.national.com/appinfo/imaging/ 14 ноября American Arium предлагает GS-1000 - новый ARM JTAG эмулятор Среди отличительных особенностей GS-1000: - единое окно для наблюдения за состоянием устройств ввода-вывода, отображенных на память - производительность передачи по JTAG - до 20 Мгц - снабжен программным интерфейсом SourcePoint (от Arium), который поддерживает наборы инструкций ARM и Thumbo и совместим с большинством сегодняшних компиляторов GS-1000 поддерживает полный набор инструкций для ARM9/ARM9E, включая 920T, 922T, 940T, 946E-S, 966E-S и спроектирован для использования с ARM ADS 1.1 и 1.2 и другими средствами, поддерживающими формат файлов elf/dwarf2. GS-1000 поддержит процессоры Intel XScale - после выхода новой версии SourcePoint в конце этого года. Цена - от $4000 (эмулятор + отладчик SourcePoint + ARM personality probe) American Arium - частная компания, поставщик программно-аппаратных средств разработки для ARM-процессоров и процессоров Intel IA-32, IA-64. Контакт - Todd Selbo - todd_selbo@arium.com Дополнительная информация : http://www.arium.com 14 ноября Flextronics Semiconductor стала первым партнером в новой программе лицензирования ARM Новая программа позволяет Flextronics Semiconductor лицензировать некоторые ARM-технологии своим пользователям. Сейчас через Flextronics могут лицензироваться ARM7TDMI, ARM922T и ARM946E. Предполагается расширение этого списка. С июля 2002 года Flextronics была членом ATAP (ARM Technology Access Program). Flextronics Semiconductor имеет центры проектирования и серсиные службы по всему миру. Дополнительная информация : http://www.flextronics.com/semiconductor http://www.arm.com 18 ноября NetSilicon выпускает новый сетевой процессор NS7520 на базе ARM (NET+ARM) Одновременно выпущены средства разработки NET+Works. Цена - $7.95 за штуку в партиях по 10,000. Ожидаемый рынок подобных изделий (интегрированных в Internet) - более 100 миллионов устройств к 2006 году. Продаваемый модуль UNC20 интегрирует NET+ARM процессор, последовательное EEPROM, RAM, Flash и PHY. Средства разработки NET+Works могут на выбор включать поддержку Multi-2000 от Green Hills или MicroCross GNU средств. NetSilicon - это часть Digi International. Дополнительная информация : http://www.netsilicon.com http://www.digi.com 18 ноября Altera выпускает средства разработки EXPA1 Комплект средств разработки EXPA1 кроме устройства EXPA1 содержит плату, содержащую 10/100 Ethernet MAC/PHY, дуплексные порты RS-232, средства отладки, 8 Мбт флеш-памяти, 32 Мбт SDRAM для исполнения ОС и приложений. Excalibur EXPA1 построен на базе процессора ARM922T и FPGA с 4,160 логическими элементами, включает также определяемый пользователем LED, модуль LCD, набор средств для соединения с дочерними платами от третьих фирм. Средства отладки включают Quartus, GNUPro (C-компилятор, ассемблер, линкер, отладчик), SOPC Builder (для построения систем на базе шин AMBA AHB и Avalon). Цена EXPA1 DK (Development Kit) - $1,195. Excalibur объединяет логику, память и процессор ARM922T. Объем логики - до 38,400 логических элементов (1,000,000 логических вентилей). Устройство Excalibur EXPA1 содержит 4,160 логических элементов. EXPA4 - 16,640 логических элементов, EXPA10 - 38,400 логических элементов. Дополнительная информация : http://www.altera.com/products/devices/excalibur/exc-index.html 26 ноября eSilicon лицензирует ARM процессоры: ARM7TDMI, ARM922T, ARM946E, ARM1022E eSilicon также лицензирует все периферийные устройства ARM PrimeCell и ETM - для ускорения разработки прикладных SoC-систем. ARM Foundry Program была объявлена в 2000 году. eSilicon основана в 2000 году, занимается разработкой под заказ от спецификации до тестирования произведенной продукции. Штаб-квартира в Sunnyvale, Calif. Имеет примерно 70 сотрудников. Дополнительная информация : http://www.eSilicon.com http://www.arm.com 2 декабря Symbian первая обеспечила поддержку архитектуры ARMv6 Symbian Ltd, разработчик ОС Symbian, которая является де-факто стандартом операционных систем для продвинутых мобильных телефонов сообщила о полной поддержке в ОС Symbian архитектуры ARMv6. Symbian и ARM имеют соответствующее долгосрочное соглашение. Используя физическую адресацию кеш-памяти ARM1136J-S ОС Symbian существенно уменьшила время переключения задач, что сокращает потребление энергии и повышает эффективность использования оперативной памяти - что весьма критично для мобильных устройств. Texas Instruments нацелена на использование процессоров с архитектурой ARMv6 и ОС Symbian в своих мобильных устройствах следующих поколений. Выпуск OS Symbian с поддержкой процессоров ARM1136J-S и ARM1136JF-S планируется на 2004 год. Дополнительная информация : http://www.symbian.com 3 декабря ARM анонсирует программу AMBA 3.0 - новый протокол взаимодействия процессоров с периферийными устройствами В программу подготовки спецификации нового поколения - AMBA 3.0 включилось уже более 25 кампаний, включая Agere Systems, Agilent, Atmel, Cadence Design Systems, Inc., Conexant Systems, CoWare Inc., Infineon, LSI Logic, Mentor Graphics, Micronas, Motorola, NEC Electronics Corporation, NEC Electronics (Europe), Philips Semiconductors, Samsung, STMicroelectronics, Synopsys, Toshiba Corporation, Verisity. AMBA - это открытый стандарт, свободно доступный с сайта ARM. Выпуск AMBA 3.0 планируется на 1-ый квартал 2003 года. Дополнительная информация : http://www.arm.com 10 декабря Zeevo выпускает TC2001 - SoC с поддержкой Bluetooth, Audio и Class 1 - на базе ARM7TDMI Zeevo, Inc. - fabless-компания, основанная в 1999 году для разработки SoC-систем для телекоммуникационного рынка. Дополнительная информация : http://www.zeevo.com 11 декабря Silterra добавляет ядро ARM в свой портфель IP компонент Silterra - Малазийское фирма по производству чипов может производить ARM7TDMI, присоединившись к ARM Foundry Program в двух версиях (основной и с сниженным потреблением энергии) по технологии 0.18 мк. Дополнительная информация : http://www.silterra.com 16 декабря MontaVista и Altera выпускают первую в мире конфигурируемую встроенную Linux систему MontaVista Linux Professional Edition теперь поддерживает Altera Excalibur EPXA1 Development Kit. Excalibur EPXA1 интегрирует ядро ARM922T и FPGA для быстрого создания устройств. MontaVista основана в 1999 году, разрабатывает ОС и крос-средства разработки для OMAP, x86/IA-32, PowerPC, StrongARM, MIPS, SH, ARM, XScale, Xtensa и др. Дополнительная информация : http://www.mvista.com http://www.altera.com/products/devices/excalibur/exc-index.html http://www.altera.com/products/devkits/altera/kit-epxa1.html 18 декабря HCL Technologies присоединяется к ARM ATAP Program HCL Technologies - ведущий в Индии IT сервис-провайдер. В ARM ATAP Program сейчас 37 членов-фирм (более 3,550 инженеров). Что бы стать членом ARM ATAP Program, нужно пройти определенную процедуру сертификации, включая аудит процесса проектирования, обучение разработке проектов на базе ядер ARM, интерфейса AMBA и соответствующих средств разработки. Дополнительная информация : http://www.hcltech.com http://www.arm.com 1.4.2. Обобщения и выводы Просто удивительно, насколько широко "ARM шагает по планете". Только в ноябре-декабре 2002 года имеется более десяти новостей, связанных с ARM: - на базе ARM создаются новые устройства: процессоры обработки образов в реальном времени LM970x (National Semiconductor); сетевой процессор NS7520 (NetSilicon); SoC с поддержкой Bluetooth, Audio и Class 1 TC2001 (Zeevo); - ARM-процессоры лицензируются для проектирования (Flextronics Semiconductor, eSilicon, HCL Technologies) и производства (Silterra) - для ARM-процессоров выпускаются средства разработки: EXPA1 (Altera), GS-1000 (American Arium) - на процессор ARM настраиваются разработчики операционных систем: Symbian OS (Simbian), Linux (MontaVista) - ARM совместно с National Semiconductor разрабатывает низко- потребляющую систему для наручных устройств - ARM анонсирует программу AMBA 3.0 - новый протокол взаимодействия процессоров с периферийными устройствами. Каждая из новостей указывает перспективное направление работы, основанное на использовании архитектуры ARM. 1.5. "6.2. MIPS - с отставанием от ARM, но с опережением всех остальных" 1.5.1. Только факты 1 ноября Новый 64-битный MIPS-микропроцессор TMPR4937XBG-300 от TAEC выполнен по технологии 0.13 мк и работает на частоте 300 МГц TAEC (Toshiba America Electronic Components, Inc.) пополнила семейство 64-битных МП на базе ядра TX49/H3. Отличительные особенности нового МП: - включает 4-портовую кэш-память с ассоциативным доступом (32 Кбайт - кэш инструкций и 32 Кбайт - кэш данных) - включает контроллер SDRAM, UART, таймер и контроллер прерываний - включает PCI контроллер и DMA контроллер - включает DSU (Debug Support Unit). DSU позволяет устанавливать аппаратные точки останова, поддерживая анализ данных (поток управления, значения регистров) в реальном времени - совместим по контактам с TMPR4927ATB-200 - что позволяет ускорит продукты в полтора раза простой заменой процессора. Цена - $35 за штуку в партиях по 100,000. Начало продаж планируется с февраля 2003 года. К тому же времени предполагается выпустить отладочную плату. Дополнительная информация : http://chips.toshiba.com 4 ноября MIPS Technologies выпускает наиболее безопасное лицензируемое 32-битное процессорное ядро MIPS32 4KSd В ближайшие 3 года ожидается взрывной рост мульти-функциональных смарт-карт с повышенной секретностью - именно на этот рынок нацелены новые процессоры. MIPS32 4KSd работает на частоте 200 Мгц, обеспечивает необходимую производительность и секретность при выполнении органичений на потребление энергии. Сфера приложений нового процессора включает модули секретности для set-top boxes, смарт-карт, секретного хранения данных и других приложений, где критической является защита информации от несанкционированного доступа. MIPS 4KSd включает специальное устройтво управления памяти, которое разделяет приложения и защищает чувствительные данные предохранением от неавторизованного доступа чужих приложений. SmartMIPS ASE обеспечивает гибкие высокопроизводительные программируемые криптографические вычисления для таких алгоритмов как RSA, DES, AES и эллиптические кривые. Как следствие программируемости криптографических алгоритмов и отсутствия криптографического спецпроцессора, MIPS32 KSd имеет малый размер, низкое энергопотребление и выгодную стоимость, обеспечивая тем не менее производительность 270 DMIPS на частоте 200 Мгц и выполняя аутентификацию 1024-битной RSA сигнатуры менее чем за 15 мсек. Поскольку криптографические алгоритмы постоянно модифицируются, их программируемость является важным достоинством MIPS32 KSd. Более того, MIPS4KSd обеспечивает создание новых инструкций пользователем. MIPS4KSd поддерживается набором средств разработки систем на базе MIPS процессоров. Ядро поддерживает ОС JavaCard. Дополнительная информация : http://www.mips.com 11 декабря Новый 64-битный RISC МП от Toshiba (TMPR4955BFG-300) потребляет только 0.6 Ватт при работе на частоте 300 Мгц TMPR4955BFG-300 - это новый член семейства TX-49, базирующегося на MIPS архитектуре. Ключевые характеристики TMPR4955BFG-300: - 64-ное CPU, TX49/H3, выполненное по технологии 0.13 мк - четырех-входовая ассоциативная кеш-память (32К - инструкций, 32К-данных) - независимое FPU (floating-point unit) - специальное DSU (debugging support unit) и EJTAG (enhanced JTAG) интерфейс для выполнения отладочных функций параллельно реальной работе Цена - $15.00 в партиях по 100 штук. Дополнительная информация : http://chips.toshiba.com http://www.toshiba.com/taec 1.5.2. Обобщения и выводы TAEC выпустила две модификации 64-битного микропроцессора, основанного на архитектуре MIPS. MIPS Technologies выпустила 32-битное ядро MIPS32 4KSd, специализированное на приложения, активно использующее шифрование данных. Архитектура MIPS - перспективная основа для создания высокпроизводительных (64-битных прежде всего) систем нового поколения. 1.6. "6.3. И другие процессорные ядра" 1.6.1. Только факты 18 ноября Xilinx выпускает новые чипы Spartan-IIE - дешевые и емкие Разработчики могут также использовать Xilinx MicroBlaze - 32-битный процессор, распространяемый в виде IP-компоненты. Типичная система на базе MicroBlaze, включающая кроме процессора еще шину, UART, таймер, SPI, GPIO, потребляет менее 6% ресурсов XC2S600E Spartan-IIE FPGA, обеспечивая 49 Dhrystone MIPS на частоте 75 MHz. Дополнительная информация : http://www.xilinx.com/ise5 http://www.xilinx.com/ipcenter http://www.xilinx.com/spartan http://www.ixiacom.com 18 декабря Бесплатный микроконтроллер Xilinx PicoBlaze для Virtex-II FPGA и CoolRunner-II CPLD Сегодня PicoBlaze имеет более 19,000 пользователей. PicoBlaze поддерживает 49 различных 16-битных инструкций, от 8 до 32 8-битных регистров общего назначения, 256 прямо и косвенно адресуемых портов, reset, и маскируемое прерывание. PicoBlaze для CoolRunner-II включает C кросс-ассемблер и VHDL исходный текст процессора. PicoBlaze для CoolRunner-II занимает только 107 макроячеек. PicoBlaze для Spartan-IIE занимает всего 76 Spartan-IIE slices. В XC2S300E FPGA это менее 2% ресурсов устройства. PicoBlaze для Virtex-II достигает производительности 55 MIPS. Кроме PicoBlaze программа Xilinx AllianceCORE предлагает ядра таких процессоров как 8051, PIC , Z80 и др. Дополнительная информация : http://www.xilinx.com/picoblaze http://www.xilinx.com/products/cr2/design_kit.htm http://www.xilinx.com/ipcenter/processor_central/picoblaze/picoblaze_user_resources.htm http://www.xilinx.com/processor 1.6.2. Обобщения и выводы На рынке синтезируемых ядер процессоров (не ARM и не MIPS) упомянуты MicroBlaze и PicoBlaze (от Xilinx) и распространяемые также в рамках программы Xilinx AllianceCORE ядра таких процессоров как 8051, PIC, Z80. 1.7. "7. В мире ПЛИС. 7.1. ПЛИС расширяют сферу применения" 1.7.1. Только факты 4 ноября Stratix GX : Altera интегрирует 3.125-Gbps трансиверы в самую быструю в мире архитектуру FPGA (Stratix). Stratix GX включает разработанные как hard-core IP-компоненты для обеспечения приема-передачи данных. Как следствие, микросхемы этого семейства служат хорошей основой для разработки мульти-гигабитных приложений в системах массового хранения информации, широковещательной передачи информации и др. Устройства семейства Stratix GX могут иметь от 4 до 20 высоко-скоростных последовательных каналов со скоростью до 3.125 Gbps при очень низком потреблении энергии(75 милливатт) на канал. Бета-версия Quartus II версии 2.1 с поддержкой Stratix GX продается с июня текущего года, включает такие компоненты как LogicLock, SOPC Builder, DSP Builder, работает под такими ОС как Windows XP/NT/2000/98, Linux, Sun Solaris, HP-UX. Stratix GX предполагается к продаже с первого квартала 2003 года, в корпусах FineLine BGA с 672 и 1020 контактами. Предполагаемая цена к середине 2004 года - $99 за штуку в партиях по 50,000. Stratix GX выполнена по технологии 0.13 мк, напряжение ппитания 1.5 вольта, может содержать до 41,250 логических элементов, 3.27 Мбит памяти TriMatrix, 14 DSP-блоков. Обеспечивается непосредственное взаимодействие контактов с такими высокоскоростными устройствами памяти как DDR SDRAM, QDR SRAM, QDR II SRAM, ZBT SRAM, DDR FCRAM и SDR SRAM. Дополнительная информация : http://www.altera.com/stratixgx 13 ноября Altera выпускает новые чипы Stratix: EP1S20 и EP1S25 EP1S25 имеет 25,660 логических элементов и 1.9 Мбит встроенной RAM. EP1S20 имеет 18,460 логических элементов и 1.6 Мбит встроенной RAM. Семейство Stratix выполняется по технологии 0.13 мк, напряжение питания - 1.5В, содержит от 10,570 до 114,140 логических элементов, до 10 Мбит RAM, до 28 DSP блоков, содержащих до 224 встроенных умножителелей. Дополнительная информация : http://www.altera.com/stratix http://www.altera.com/products/devices/stratix/overview/stx-overview.html. http://www.altera.com/corporate/news_room/customer_quotes/cqt-index.html#stratix http://www.arrow.com 18 ноября Xilinx выпускает новые чипы Spartan-IIE - дешевые и емкие Емкость - от 50К до 600К вентилей. Количество контактов - до 514. XC2S400E (400,000 системных вентилей и 410 контактов ввода-вывода) и XC2S600E (600,000 системных вентилей и 514 контактов ввода-вывода). С момента анонсирования архитектуры Spartan 4 года назад Xilinx выпустила уже 4 поколения чипов общим числом более 40 миллионов, по цене от $2.55 за чип. В 2003 году ожидается выпуск пятого поколения. Разработчики могут также использовать Xilinx MicroBlaze - 32-битный процессор, распространяемый в виде IP-компоненты. Типичная система на базе MicroBlaze, включающая кроме процессора еще шину, UART, таймер, SPI, GPIO, потребляет менее 6% ресурсов XC2S600E Spartan-IIE FPGA, обеспечивая 49 Dhrystone MIPS на частоте 75 MHz. Цена на XC2S400E и XC2S600E - $27 и $45 соответственно в партиях по 250K. Xilinx распространяет также средства разработки Xilinx ISE 5 и более 200 IP компонент. Дополнительная информация : http://www.xilinx.com/ise5 http://www.xilinx.com/ipcenter http://www.xilinx.com/spartan http://www.ixiacom.com 1.7.2. Обобщения и выводы В ноябре-декабре 2002 года Altera отметилась новостями о Stratix GX - самой быстрой (по мнению Altera) архитектуре FPGA. Xilinx ответила новостями о Spartan-IIE - самых дешевых и емких (по мнению Xilinx) FPGA. 1.8. "7.2. Развитие средств проектирования ПЛИС" 1.8.1. Только факты 4 ноября Synplicity интегрирует интерактивный временной анализ и мультиточечную технологию в новые поколения средств синтеза FPGA Технология "MultiPoint" исключает потребность в повторном синтезе неизменяемых фрагментов. Пересинтезируются только те части, нет-листы для которых реально изменятся вследствие изменений HDL-кода или ограничений. Кроме того, Synplify Pro поддерживает теперь Xilinx ISE Incremental Design Flow и Altera LogicLock свойства в Quartus II. Synplify Pro 7.2 включает развитые средства временного анализа, интерированные в HDL Analyst, позволяя разработчикам быстро находить критические пути и выполнять интерактивный временной анализ без ре-синтеза проекта. Большинство крупных проектов сегодня (например таких, которые базируются на использовании Xilinx Virtex II Pro) требуют модульной организации процесса проектирования. Synplify Pro 7.2 поддерживает также средства формальной верификации от Verplex - Conformal LEC (Logical Equivalency Checker). В Synplify Pro 7.2 улучшено качество поддержки RAM для Xilinx Virtex II и Virtex II Pro, устройств MAC (multiply-accumulate) для Altera Stratix, DSP-блоков для Actel ProASIC. В Synplify Pro 7.2 обеспечена также поддержка недавно заявленных семейств Actel Axcelerator и Altera Cyclone и Stratix GX. Средства синтеза от Synplicity базируются на собственных алгоритмах BEST (Behavior Extracting Synthesis Technology), которые извлекают из HDL-кода ссылки на память, конечные автоматы, математичяеские функции, а затем эффективно отображают их на аппаратные ресурсы, специфичные для конкретных семейств. Точные средства временной оценки позволяют сократить количество итераций проектирования. Synplify 7.2 и Synplify Pro 7.2 сейчас находятся на стадии тестирования, предполагаемые цены - от $9,500 и $20,000 соответственно. По оценкам Dataquest Synplicity - провайдер номер 1 средств синтеза в 2000 году, в 2001 году занимала примерно 45% соответствующего рынка. На сентябрь 2002 года на Synplify работало 269 человек в 20 подразделениях по всему миру. Дополнительная информация : http://www.synplicity.com 5 ноября Mentor Graphics, Synopsys и Synplicity поддержали новое семейство Altera Stratix GX FPGA Quartus II версии 2.1 позволит использовать все достоинства Stratix GX, включая трансиверы 3.125 Гб/с, DSP блоки, память TriMatrix и средства межсоединений MultiTrack. Для проектирования Stratix GX уже можно использовать: - от Mentor Graphics - средство синтеза LeonardoSpectrum (версии 2002e) и средство симуляции ModelSim (версии 5.6a) - от Synopsis - средство синтеза FPGA Compiler II (версии 3.8) - от Synplicity - средства синтеза Synplify и Synplify Pro (версии 7.2). Дополнительная информация : http://www.altera.com 8 ноября Synplicity купила у Bridges2Silicon за $2,500,000 технологию отладки FPGA Говорит Bernard Aronson (президент Synplicity): "Мы исследовали рынок отладки FPGA и верим, что у него отличные перспективы. Уникальные средства отладки RTL фирмы Bridges2Silicon дополнят наши средства синтеза." Кроме того, 3 разработчика технологий и средств отладки из Bridges2Silicon присоединились к команде Synplicity, разрабатывающей средства синтеза и протипирования новых поколений. Synplicity планирует продавать Bridges2Silicon Debugger как часть нового семейства средств отладки Identify - по цене от $15,000. Дополнительная информация : http://www.synplicity.com http://www.tfn.com 12 ноября Xilinx бесплатно распространяет средства проектирования для CoolRunner-II - для захвата рынка CPLD Xilinx видит отличные перспективы использования CoolRunner-II CPLD в таких устройствах как PDA, смарт-фоны, цифровые камеры, дамашнее аудио, Internet-игры и беспроводные устройства. Xilinx CoolRunner-II Design Kit распространяется бесплатно для зарегистрированных у дистрибьюторов квалифицированных пользователей и продается за $49.99 в он-лайн магазине http://www.xilinx.com/products/cr2/design_kit.htm 18 ноября Novas Software укрепляет позиции на рынке RTL В категории "средства ввода симуляции анализа RTL, VHDL, Verilog с использованием графических символов" Novas занимает 40% рынка по оценкам Dataquest. Это следствие признания Debussy Knowledge-Based Debug System. Novas в 2001 году выпустила также Verdi Behavior-Based System. Verdi позволяет анализировать причинно-следственные связи, визуализировать поведение проекта во времени, исследовать альтернативы поведения. Дополнительная информация : http://www.novas.com 27 ноября Sanyo Semiconductor заключила с Novas Debug Software многолетнее соглашение Debussy будет широко использоваться в LSI System Division. Debussy сокращает на 50% время отладки. Дополнительная информация : http://www.semic.sanyo.co.jp http://www.novas.com 3 декабря Aldec выпускает утилиту для импорта проектов из Xilinx Foundation Series в Active-HDL Вначале Aldec поддержала все редакторы схем и менеджеры проектов их Xilinx Foundation Series и теперь с их помощью поддерживает портирование проектов. Aldec уже 18 лет поставляет EDA средства для Unix, Linux и Windows. Дополнительная информация : http://www.aldec.com 1.8.2. Обобщения и выводы Прежде всего хочется отметить, что на появление новых семейств FPGA (Altera Stratix GX) тут же откликнyлись разработчики средств автоматизации проектирования FPGA (Mentor Graphics, Synopsys и Synplicity). Интересно сообщение о расширении сферы интересов Synplicity от синтеза к отладке RTL-описаний. Отметились в новостях Novas Software и Aldec. 1.9. "8. IP-компоненты для ПЛИС и ASIC. 8.2. Телекоммуникации" 1.9.1. Только факты 7 ноября MorethanIP выпустила полную библиотеку 10Гбит Ethernet IP-компонент для Altera Stratix GX FPGA Библиотека включает: - 10-Gigabit Ethernet XAUI MAC (Media Access Controller) - 10-Gigabit Ethernet MAC with FIFO (with Atlantic interface) - 10-Gigabit Ethernet 10GBase-R PCS (Physical Coding Sub-Layer for LAN PHY Applications) - 10-Gigabit Ethernet 10GBase-W PCS (Physical Coding Sub-Layer for WAN PHY Applications) - Gigabit Ethernet MAC with 1000Base-X PCS Цена - от $30,000 на 10-Gigabit Ethernet XAUI MAC. MorethanIP - основана в 1999 году в Мюнхене (Германия) как GmbH (Ltd.)) - служба проектирования и разработок IP-компонент, ориентированная на высокоскоростные коммуникации и DSP технологии. Дополнительная информация : http://www.morethanip.com/10g/xaui_index.shtml http://www.altera.com/IPmegastore http://www.morethanip.com http://www.altera.com 25 ноября Mentor Graphics и Genesys Logic выпускают интегрированную IP-компоненту USB 2.0 Дополнительная информация : http://www.genesyslogic.com http://www.mentor.com 1.9.2. Обобщения и выводы IP-компоненты для телекоммуникации интересны (и потому новости о них есть), однако чрезвычайно трудоемки в разработке (и потому новостей так мало). 1.10. "8. IP-компоненты для ПЛИС и ASIC. 8.4. Как распространяются IP-компоненты" 1.10.1. Только факты 2 декабря Telairity использует средства от Circuit Semantics для разработки IP и ASIC Telairity Semiconductor Inc. - "fabless"-компания занимающаяся разработкой IP-компонент, основана в апреле 2001 года в Калифорнии(США). Дополнительная информация : http://www.telairity.com http://www.circuitsemantics.com 11 декабря Actel расширяет IP-программу для FPGA Proasic Plus и Axcelerator Добавлено более 50 IP-компонент, разработанных Actel и 7 членами CompanionCore Alliance Program: Amphion Semiconductor,Inc.; CAST, Inc.; GDA Technologies, Inc.; Helion Technology Ltd.; Inicore, Inc.; Memec Design; and MorethanIP GmbH. Компоненты включают: -- технологии FEC (Forward error correction) , такие как Reed Solomon кодеры и декодеры, 10/100/1G Ethernet MAC контроллеры, Packet-Over-SONET Layer 2, HDLC контроллеры and PL3 и Utopia Level 3 интерфейсы для использования в коииуникационных приложениях, включая беспроводные LAN, xDSL, SONET/SDH и DWDM. -- PCI, 8-битные микроконтроллеры, UART, DMA, CAN и USB контроллеры -- MPEG 2, DVB (digital video broadcast) модуляторы, контроллеры памяти, шифрующие ядра. Документация на IP компоненты может быть получена с сайта http://www.actel.com/products/ip/index.html Цена - от $495. Дополнительная информация : http://www.actel.com 1.10.2. Обобщения и выводы Использование качественных готовых IP-компонент - лучший способ сократить сроки разработки. Главный способ распространения IP-компонент - соответствующие WEB-порталы. Вновь и вновь появляются фирмы (Telairity Semiconductor, апрель 2001 года, на этот раз), специализирующиеся исключительно на разработке IP-компонент. 1.11. " 9. Верификационные IP-компоненты 9.2. Другие языки и средства верификации симуляцией" 1.11.1 Только факты 5 ноября Atrenta вводит поддержку японского стандарта STARC в своем продукте SpyGlass Predictive Analyzer STARC - Semiconductor Technology Academic Research Center - очертил свод правил написания HDL-текстов, основанный на HDL Design Style Guide, разработанном HD Lab и STARC. STARC - это консорциум 11 ведущих японских полупроводниковых компаний, который создает и продвигает стандарт для продажи и повторного использования IP-компонент. Atrenta партнерствует с HD Lab для автоматизации проверки исполнения этих правил в SpyGlass. SpyGlass выполняет детальный структурный анализ HDL-текстов (Verilog и VHDL), написанных на уровне регистровых передач. SpyGlass имеет встроенные - быстрый синтезатор, логический оценщик, технологии тестируемости. Atrenta имеет уже более 50 пользователей, включая: Agere, Agilent, Apple, ARM, Canon, Compaq, Fujitsu, Hitachi, Motorola, National Semiconductor, Nortel, Olympus, Toshiba. Дополнительная информация : http://www.atrenta.com 13 ноября 0-In выпускает CheckerWare мониторы для ведущих стандартных интерфейсов: AGP 8x, HyperTransport, InfiniBand и RapidIO Мониторы во время симуляции предупреждают пользователя обо всех нарушениях протокола и генерируют статистику эффективности покрытия тестами. Эти же мониторы используются средствами формальной верификации от 0-In (0-In Search). 0-In основана в 1996 году в San Jose, Calif. Дополнительная информация : http://www.0-in.com/misc/survey.php http://www.0-in.com 3 декабря Agere Systems внедряет у себя SpyGlass фирмы Atrenta Agere Systems - разработчик ASIC No 2 в мире. SpyGlass - предсказательный анализатор, будет включен в средства, предоставляемые пользователям Agere Systems на базе web-технологий. SpyGlass поддерживает Verilog, VHDL, Synopsys Liberty. Для разработки пользовательских правил, SpyGlass имеет интерфейсы с C и PERL. Дополнительная информация : http://www.agere.com http://www.atrenta.com 1.11.2. Обобщения и выводы Сегодня одной симуляции недостаточно для сокращения сроков верификации проектов. Важные дополнительные средства - анализ исходных текстов на корректность, и также анализ результатов симуляции, в том числе и "на лету", то есть в ходе процесса симуляции. Лидерами ноября-декабря 2002 года среди таких разработок являются SpyGlass фирмы Atrenta и CheckerWare фирмы 0-In. 1.12. "10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.1. Отладка проектов для ПЛИС" 1.12.1. Только факты 10 декабря e*ECAD предлагает DiaLite Instrumentation (DLI) - средства отладки и верификации FPGA от Temento Systems DLI обеспечивает отладку и визуализацию непосредственно в FPGA используя встроенное инструментирование. DLI встраивает в проект логический анализатор, анализатор трафика шин, псевдослучайные генераторы, glitch-детекторы, триггеры и другие IP-компоненты. Эти компоненты позволяют анализировать поведение всех сигналов внутри FPGA. DLI на одной плате позволяет работать с FPGA от различных производителей таких как Xilinx, Altera, Lattice, Quicklogic. DLI имеет графический пользовательский интерфейс. DLI использует языки Verilog и VHDL и совместим с большинсвом коммерчески доступных синтезаторов. Текущая версия DLI - Leading Edge 1.3 доступна для Windows через www.eecad.com на основе помесячной или годовой подписки, или постоянной лицензии ($2100). Обеспечивается техническая поддержка. e*ECAD распространяет EDA-средства от известных и начинающих компаний различными способами аренды и продажи. Дополнительная информация : http://www.eecad.com 17 декабря Altium внесла возможности nVisage в Protel DXP Protel DXP - средство разработки проектов на уровне плат. Поддерживаются VHDL-симуляция и синтез с последующей бек-аннотацией от средств размещения и трассировки FPGA. Цена Protel DXP - $7,995. Сегодня Altium продает продукты с марками Protel, nVisage, P-CAD, TASKING, Accolade, CircuitMaker и CAMtastic!. Дополнительная информация : http://www.protel.com http://www.altium.com 1.12.2. Обобщения и выводы Имеющиеся средства разработки перманентно не устраивают разработчиков. Это вызывает к жизни новые разработки (такие как DLI) и интеграцию и развитие старых разработок (Protel DXP). Интересно также отметить порядок упомянутых цен (тысячи долларов). Это также повышает интерес к созданию новых программных средств подобного рода. 1.13. "10.3. Персональные средства для прототипирования ASIC" 1.13.1. Только факты 12 ноября Система верификации Xtreme фирмы Axis Systems помогла в разработке новейшей цифровой видео-камеры на Matsushita Это чип содержит более 1.3 миллиона логических вентилей и более чем 20 Мбайт DRAM. Xtreme, которая интегрирует программную симуляцию, аппаратную акселерацию и эмуляцию в одной системе, позволила инженерам Matsushita выполнять длинные тесты, что значительно улучшило результаты верификации по сравнению с прошлым подходом (программной симуляцией). Результат - корректно работающий чип после первого изготовления. В целом время верификации было уменьшено на две третьих. Matsushita Electric Industrial Co., Ltd. (Panasonic, Осака, Япония) - лидер в выпуске и продаже цифровых электронных устройств. Дополнительная информация : http://www.panasonic.co.jp/global/top.html http://www.axiscorp.com 9 декабря Система верификации проектов Cadence Palladim имеет интерфейсы с ведущим беспроводным тестовым оборудованием от Anritsu Corporation, Rohde & Schwarz, Elektrobit Поддерживаются стандарты 2.5G, 3G, 802.11. Эти новые интерфейсы позволяют инженерам верифицировать свои проекты на реальных стимулах, генерируемых беспроводными тестерами. То есть решать задачу системной верификации, которая в противном случае выполняется только после того, как получены силиконовые образцы. Все это в целом позволяет сократить сроки разработки и уменьшить риск повторного изготовления опытных чипов. LG Electronics Inc. (LGE) уже использует Palladium для полной системной верификации своего 6-миллионо-вентильного проекта 3G WCDMA. Говорит Dr. Chul-Heum Yon, вице-президент UMTS System Research Lab, LGE: "Используя Palladium с различным беспроводным тестовым оборудованием и программными отладчиками позволило нам вести совместную отладку программного и аппаратного обеспечения при реальной нагрузке за месяцы до получения опытных чипов. Мы могли использовать программные отладчики третьих фирм для различных процессоров и выполнять программное обеспечение в 10,000 раз быстрее чем при симуляции. Мы также существенно сократили сроки разработки быстро находя и исправляя ошибки в аппаратном обеспечении, поскольку в Palladium время компиляции (перехода от RTL к исполнению) составляет всего 12 минут - на одной рабочей станции." LGE - корейская фирма по изготовлению потребительской электроники, основанная в 1958 году, имеет более 55,000 сотрудников в 72 подразделениях по всему миру. Дополнительная информация : http://www.lge.com http://www.cadence.com 1.13.2. Обобщения и выводы Xtreme от Axis Systems и Palladim от Cadence в очередной раз показали свою эффективность. Правда пока по цене (сотни тысяч долларов) они доступны только таким крупным компаниям как Matsushita Electric Industrial и LG Electronics. Интересно таже отметить интеграцию Palladium с беспроводным тестовым оборудованием. 1.14. "11. Отладка программного обеспечения для микроконтроллеров 11.1. Разработки Applied Microsystems" 1.14.1. Только факты 8 ноября Metrowerks планирует купить ключевые продукты и технологии Applied Microsystems Corporation Metrowerks планирует купить NetROM, PowerTAP, CodeTAP, SuperTAP, CodeTEST, а также средства разработки игр и технологию эмуляции DVD. Metrowerks намерена улучшить семейства эмуляторов "TAP" и отладчиков программного обеспечения CodeTEST, добавив поддержку новых архитектур, таких как PowerQUICC III от Motorola. Metrowerks создана в 1985 году, сейчас функционирует как независимое подразделение Motorola. Основной продукт - средства отладки и эмуляции CodeWarrior. Дополнительная информация : http://www.metrowerks.com http://www.motorola.com 16 декабря Applied Microsystems объявляет планы самоликвидации Решение было принято в начале сентября 2002 года. Дополнительная информация : http://www.amc.com 1.14.2. Обобщения и выводы Applied Microsystems долгие годы была лидером в разработке средств отладки программного обеспечения для микроконтроллеров. Тем не менее, скорей всего такой фирмы скоро не станет. 1.15. "11.2. И все остальные" 1.15.1. Только факты 19 ноября Accelerated Technology анонсирует первый продукт после ее приобретения фирмой Mentor Graphics - XRAY Software Developer's Kit XRAY Software Developer's Kit - это результат успешного слияния продуктов и технологий от Accelerated Technology и Mentor Graphics Embedded Systems Division. XRAY SDK интегрирует XRAY Debugger и компиляторы от Microtec в AT code|lab EDE (Embedded Development Environment), основанной на MicroSoft Visual Studio.Net. Эта интеграция позволяет разработчикам синхронно использовать и средства отладки и средства управления проектами. XRAY SDK доступен под UNIX - для самых разных процесоров и платформ. Цена XRAY SDK - от $5,995. Дополнительная информация : http://www.acceleratedtechnology.com http://www.mentor.com 1.15.2 Обобщения и выводы Средства отладки прикладного программного обеспечения, настраиваемые на целевую архитектуру можно пересчитать на пальцах. XRAY SDK - одно из немногих таких средств. Достаточно высокая цена XRAY SDK (от $6,000) - лучшее тому подтверждение. 1.16. "12. Обучение - ключ к продаже. 12.2. On-line - обучение" 1.16.1. Только факты 4 ноября Xilinx укрепляет лидерство в скоростной последовательной передаче данных В дополнение к IP-компонентам для Virtex-II Pro, поддерживающим PCI Express, 1 и 10 Гигабит Ethernet (XAUI) и SONET, Xilinx предлагает обучающий курс по последовательной передаче данных, 6 платформ для разработчиков и специальный web-портал, посвященный последовательной передаче данных www.xilinx.com/connectivity Микросхема 2VP125 семейства Xilinx Virtex-II Pro с процессором PowerPC может обеспечить передачу данных со скоростью до 75 Гигабит/сек Двухдневный учебный курс рассматривает вопросы: - CRC, 8b/10b кодирование, channel bonding, clock correction, comma detection, возможности отладки. Дополнительная информация : http://www.insight-electronics.com http://www.xilinx.com/connectivity 6 ноября Mentor Graphics уменьшает на 70% на новый пакет курсов обучения работе со средствами HDL-проектирования HDL Training FLEX PASS дает доступ ко всем 9 обучающим курсам, предлагаемым Mentor. Общая стоимость всех курсов более чем $15,000. Стоимость нового пакета (включающего все курсы) - $3,995. Подписка обеспечивает индивидуальный доступ ко всем 9 курсам за 26 учебных дней в течение 12-месячного периода. Названия курсов: - Comprehensive VHDL - Expert VHDL Verification - Expert Verilog Verification - Designing with FPGA Advantageо - FPGA Synthesis Using LeonardoSpectrum - HDL Designer Series - HDL Simulation Using ModelSim - ModelSim Advanced Debugging Дополнительная информация : http://www.mentor.com/es/hdlflex/ 18 ноября Xilinx открывает новый сегмент на Web-портале eSP, посвященный разработке устройств широкого вещания видео на базе Xilin Spartan IIE. Новые Xilinx Spartan IIE - это дешевые FPGA с большой емкостью - они идеально походят для высокопроизводительной обработки и передачи видео. На сегодняшний день Xilinx eSP Web портал посещен более 4 миллионов раз. Дополнительная информация : http://www.xilinx.com/esp 1.16.2. Обобщения и выводы Xilinx и Mentor давно осознали 2 простые истины: - "не научишь - не продашь" - "на учебе тоже можно зарабатывать" и потому они активно продвигают и платные и бесплатные формы обучения инженеров эффективному использованию продаваемого ими товара. 2. Новости, развивающие классификацию 2.1. "8. IP-компоненты для ПЛИС и ASIC. 8.3. Шифрование" 2.1.1. Только факты 25 ноября Actel выпускает компоненты шифрования IP-компоненты для AES (Advanced Encryption Standard) и DES (Data Encryption Standard) оптимизированы для архитектур Axcelerator, ProASIC, ProASIC Plus, RTSX-S и SX-A. Эти компоненты распространяются Actel и ее партнерами - службами проектирования Amphion Semiconductor и Helion Technology. Компонеты сертифицированы NIST (National Institute of Standards and Technology). Цена - от $1,995 за разовое использование net-листа. IP-компоненты CoreDES и Core3DES поддерживают шифрование/ дешифрование с ключами 56 и 168 бит соответственно. Доступна документация с сайта. Дополнительная информация : http://www.actel.com/products/ip http://www.actel.com/products/security 2.1.2. Обобщения и выводы Электронизация всех сфер жизни и функционирования развитых государств естественным образом требует соответствующего уровня конфеденциальности и секретности. Последний обеспечивается использованием шифрования определенной информации. Это вызывает к жизни разработку IP-компонент, обеспечивающих шифрование/ расшифровывание информации. Поскольку стандарты и алгоритмы шифрования находятся в постоянном развитии, разработка таких IP-компонент - довольно перспективное направление. 2.2. "11.3. Сетевые микроконтроллеры" 2.2.1. Только факты 4 ноября Dallas Semiconductor выпускает сетевой микроконтроллер DS80C400 на базе ядра 8051 DS80C400 интегрирует высокоскоростное ядро 8051, 10/100Mb Ethernet MAC, программно-реализованный TCP IPv4/v6 stack, 3 синхронных/асинхронных последовательных порта, которые передают данные со скоростью до 18.75 Мбит/сек, контроллер CAN2.0B, до 8 портов (64 контакта ввода/вывода). DS80C400 может быть непосредственно присоединен к IP-сетям и стоит менее $9 (в партиях от 1000 штук, в пределах США). DS80C400 работает на частоте 75 Мгц и может быть успешно применен при создании приложений, требующих соединения с сетью, таких как MP3 аудио-плейеры, web-камеры, управление средой, промышленное оборудование для сбора данных и удаленного управления. Резидентное программное обеспечение на чипе поддерживает такие Интернет-стандарты как TCP, UDP, IPv4/v6, IGMP, ICMP, TFTP, DHCP. Приложения могут исполняться из локальной памяти или загружены из Интернет - для быстрой модификации приложений. Встроенный web-сервер может быть построен за часы вместо месяцев, используя специальный проект на базе DS80C400. Вы можете бесплатно получить среду исполнения Tiny Internet Interface (TINI) с сайта http://www.maxim-ic.com/TINI Разработчикам также доступен верификационный модуль DSTINIm400-144. Приложеиня могут разрабатываться на языках Java и C. Дополнительная информация : http://www.maxim-ic.com/microcontrollers 12 ноября National Semiconductor выпускает семейство процессоров CP3000 для построения сетей Семейство CP3000 снабжено необходимым аппаратным, программным обеспеченим, средствами разработки и технической поддержкой для сокращения сроков и стоимости построения распределенных встроенных приложений. Чипы CP3BT10, CP3BT13, CP3UB17 и CP3CN17 эффективно соединяют wide-area, local-area и personal-area сети. Процессоры семейства CP3000 включают флеш-память программ, и различные периферийные устройства такие как USB, CAN, USART, SPI, Access.bus и Bluetooth, а также 16-битный RISC микроконтроллер CR16C, работающий на частоте от 0 до 24 Мгц при температурах от -40 до +85 градусов по Цельсию. Массовое производство ожидается в начале 2003 года. Цена - от $6.50 до $8.25. Дополнительная информация : http://www.national.com/appinfo/cp3000/ 18 ноября NetSilicon выпускает новый сетевой процессор NS7520 на базе ARM (NET+ARM) Одновременно выпущены средства разработки NET+Works. Цена - $7.95 за штуку в партиях по 10,000. Ожидаемый рынок подобных изделий (интегрированных в Internet) - более 100 миллионов устройств к 2006 году. Продаваемый модуль UNC20 интегрирует NET+ARM процессор, последовательное EEPROM, RAM, Flash и PHY. Средства разработки NET+Works могут на выбор включать поддержку Multi-2000 от Green Hills или MicroCross GNU средств. NetSilicon - это часть Digi International. Дополнительная информация : http://www.netsilicon.com http://www.digi.com 18 ноября Motorola выпускает ColdFire MCF5282 - первый 32-битный процессор с Ethernet, CAN и флеш-памятью (512Кбт) - 10/100 Mбит/сек Ethernet MAC (Media Access Controller) - прикладное ПО работы с сетью Появляются новые возможности устройств: - пользовательские интерфейсы, основанные на WEB-технологиях - сетевая синхронизация времени По оценкам Gartner Dataquest, только на рынке домашних сетей потребность в чипах, способных работать с Ethernet, достигнет $740 миллионов в 2003 году. Имея еще и CAN-интерфейс, MCF5282 может также соединять промышленные устройства и сети и Интернет устройства и сети. Обзор свойств MCF5282: - архтектура V2 - до 59 Dhrystone 2.1 MIPS на частоте 66 Мгц при исполнении программного обеспечения, расположенного на чипе во флеш-памяти или RAM -- 512KB встроенной флеш-памяти -- 64 KB статической памяти, доступной ядру и DMA/Ethernet -- 10/100 Ethernet MAC со встроенным DMA контроллером -- FlexCAN интерфейс с 16 буферами сообщений -- 8-канальный 10-битный QADC -- четыре 32-битных таймера с возможностью DMA -- восемь 16-битных таймеров -- три UART с возможностью DMA -- Three UARTs with DMA capability -- QSPI для четырех линий -- I2C контроллер -- четыре таймера прерываний -- работа на частоте от -40 до +85 градусов по Цельсию на частоте 66 Мгц -- программное обеспечение RTXC Quadros - включая RTOS и поддержку сетевых протоколов IP, UDP, TCP, ARP, DHCP, ICMP, SNTP, HTTP, TFTP -- средства разработки Metrowerks CodeWarrior Массовый выпуск MCF5282 предполагается начать во втором квартале 2003 года, предполагамая цена - от $17.86 в партиях по 10,000 штук. Дополнительная информация : http://www.motorola.com 2.2.2. Обобщения и выводы Мир нуждается в устройствах, подключенных к сети Internet и потому производители микроконтроллеров оперативно откликнулись на эту потребность, обеспечивая готовую аппаратную платформу, функциональность которой легко меняется изменением прикладной программы (в том числе и удаленно, посредством сетевого интерфейса). 2.3. "11.4. Другие новости мира микроконтроллеров" 2.3.1. Только факты 4 ноября Motorola упрощает разработку систем распознавания отпечатков пальцев Растущий рынок устройств распознавания отпечатков пальцев заставляет производителей искать пути сокращения стоимости, упрощения проектирования и ускорения выпуска продуктов. Распознавание отпечатков пальцев используется в ATM-устройствах, дверных замках, доступе к компьютерам, оружию, системам управления в аэропортах. Все это требует большей надежности и меньшего энергопотребления от процессоров. Motorola выпустила платформу для разработки таких приложений на основе внедрения 32-битного процессора ColdFire MCF5249 в биометрические устройства. Платформа включает схему проекта, необходимое програмное обеспечение и примеры применения. Рынок биометрических устройств - для распознавания персоны по ее физиологическим или поведенческим характеристиким - динамично развивается, поскольку правительства, корпорации и частные лица придают все больше важности безопасности. Ожидается рост этого рынка до $2 миллиардов в 2005 году (по прогнозам International Biometric Group). Одна из первичных форм биоометрического контроля - распознавание отпечатков пальцев используется в самых различных приложениях, включая доступ к компьютерам и сетям, электронной коммерции, сотовым телефонам, автомобилям и секретным входам. Система распознавания отпечатков пальцев работает сравнивая отсканированный отпечаток с базой данных ранее сохраненных образцов. Такие системы могут быть автономными для обеспечения доступа ограниченного круга лиц или связанными сетью местами сканирования и центрального хранилища информации - как например в аэропорту. Более детально, такая система должна иметь сенсор, микропроцессор, пользовательский интерфейс и память для хранения образцов. Выпущенный проект обеспечивает детальное объяснение методов соединения сенсоров для сканирования отпечатков пальцев к процессору ColdFire MCF5249. Проект включает программное обеспечение драйверов и инициализации, а также программную систему распознавания отпечатков пальцев фирмы Acter AG, которую производители должны лицензировать непосредственно у Acter AG. Процессор ColdFire имеет интерфейсы для различных типов памяти, включая SDRAM, Flash, винчестеры и smart memory, которые позволяют использовать различные способы хранения данных об отпечатках пальцев. Некоторые технические характеристики: - 125 (Dhrystone 2.1) MIPS при работе на частоте 140 Мгц - 96 Кбайт SRAM - улучшенное устройство для умножения/сложения - 8 Кбайт - кеш инструкций - аппаратное устройство для целочисленного деления - специальный аппаратный модуль для поддержки отладки - SDRAM контроллер - два независимых UART - два интерфейса I2C - QSPI - Queued Serial Peripheral Interface - 12-битный ADC - два 16-битных таймера - 16 контактов ввода-вывода общего назначения - интерфейс Flash media - интерфейс IDE (Integrated Drive Electronics) - напряжение ппитания - 1.8 вольт для ядра, 3.3 вольта для контактов ввода-выода - 160-контактный корпус MAPBGA ColdFire - RISC-архитектура с переменной длиной спроектирована чтобы дать пользователям продукции Motorola большую гибкость для сокращения требуемой памяти и общей стоимости. Инструкции могут иметь длину 16, 32 или 48 битов, в результате получаемый код может бть компактнее чем у традиционных 32- или 64- битных RISC-процессоров. Более эффективное использование ресурсов внутрикристальной памяти сокращает нагрузку на шины и требования к внешней памяти, что уменьшает стоимость системы в целом. Семейство процессоров ColdFire представляет различные варианты выбора производительности, цены, средств интеграции и отладки для разработчиков встроенных систем. Имеется большое количество средств разработки от Motorola и независимых поставщиков. Программное обеспечение и документация разработанной платформы поставляются бесплатно зарегистрированным пользователям. Оценочная плата M5249C3 стоит $649. Дополнительная информация : http://www.motorola.com/ColdFire http://www.motorola.com/semiconductors 4 ноября Motorola расширяет семейство 16-битных гибридов MCU/DSP 56F83x с флеш-памятью спроектированы для использования в автомобильной промышленности, сетевых приложениях, системах сбора данных, системах управления производством, работают при температурах от -40 градусов до +125 градусов по Цельсию, обеспечивая производительность до 60 MIPS. Исторически, разработчики разделяли системные задачи между множеством чипов или даже множеством микропроцессоров. Семейство 56800 фирмы Motorola впервые интегрировало на одном чипе производительность традиционных DSP и управляющей функциональностью MCU. Это позволило сократить используемое оборудование и стоимость систем. Семейство 56800E - это эволюция архитектуры семейства 56800, сейчас включает 6 устройств с производительностью до 120 MIPS для приложений обработки голоса и данных. Цена устройств 56F83x - от $2.50 до $20.00 за штуку. Поставки ожидаются в начале 2003 года. Metrowerks (подразделение Motorola) поставляет IDE CodeWarrior как единое средство разработки программ для всех 16-битных контроллеров фирмы Motorola с использованием графического интерфейса для управления проектом, оптимизированный С компилятор, ассемблер, линкер, отладчик, симулятор системы команд и др. Дополнительная информация : http://www.motorola.com/semiconductors 5 ноября Atmel добавляет функциональность в свою 32К смарт-карту на базе флеш-микроконтроллера AVR (AT90SC3232CS) Эта функциональность включает RSA, DFA/DPA/SPA, сопроцессор DES/TDES, RNG (Random Number Generator), firewalls. Первый представитель семейства - AT90SC19264RC - имеет 192К ROM и 64K EEPROM. AT90SC3232CS - меньший и более дешевый микроконтроллер. Дополнительная информация : http://www.atmel.com/atmel/products/prod171.htm http://www.atmel.com/atmel/products/prod21.htm 7 ноября Atmel выпускает полное семейство микросхем типа Smart Card Reader (Serial, USB, PCMCIA) на базе микроконтроллера с системой команд 8051 Эти микросхемы используются в смарт-картах для мобильных телефонов, PDA, энергоизмерителях и доступны в версиях Flash, RAM и ROM. Чтобы сократить время выхода на рынок пользователей таких контроллеров, Atmel и ее партнеры разработали ряд готовых и сертифицированных программных продуктов соответствующих промышленным стандартам (EMV 2000, PC/SC, ISO7816, WHQL). Цена - $4 в партиях от 10,000 штук ($2 для ROM-версий). Имеется полная поддержка средствами отладки: аппаратный эмулятор, программный компилятор/симулятор, стартер-кит. Дополнительная информация : http://www.atmel.com/atmel/products/prod71.htm#Smart_Card_Readers 12 ноября Atmel выпускает самый низкопотребляющий 8-битный Flash микроконтроллер (Mega 169 семейства AVR) Mega 169 потребляет 300 Micro Amps за 1 микросекунду во время исполнения инструкций (2 MIPS на милливатт). В целях дальнейшего сокращения потребления энергии частота процессора AVR управляется программно. Разработчик может выбрать высокую частоту для выполнения интенсивных вычислений или снизить ее до 32 КHz для выполнения простых управляющих функций. Это позволяет сократить потребление энергии до 20 Micro Amps в активном режиме. В режиме отключенного питания все периферийные устройства за исключением внешних прерываний выключаются и потребление падает до 500 Nano Amps. Все это вместе обеспечивает увеличение в 10 раз срока службы батареи для устройств, выполненных на базе Mega 169. Активизация Mega169 внешним прерыванием из режима выключенного питания осуществляется за 1 микросекунду с любой из 18 внешних линий. Средства оценки и отладки уже доступны. STK 502 ($99) плюс STK 500 ($79) содержат все необходимое аппаратное обеспечение для тестирования возможностей Mega 169. STK 502 включает пример мониторинга температуры с драйверами на С для всех периферийных устройств включая LCD-контроллер. Эти STK позволяют также разрабатывать и тестировать свой код до завершения создания всей системы, сокращая таким образом время разработки. Массовое производство Mega 169 ожидается в январе 2003 года. Дополнительная информация : http://www.atmel.com/atmel/acrobat/doc2514.pdf http://www.atmel.com/atmel/acrobat/2514s.pdf http://www.atmel.com/atmel/products/prod23.htm 2 декабря Motorola расширяет семейство HCS12 16-битных МК Каждый из 3 новых микроконтроллеров (HC9S12A64, HC9S12D64, HC9S12DJ64) включает 64К флеш-памяти программ. Теперь пользователь МК семейства HCS12 может выбрать МК с встроенной флеш-памятью от 64К до 256К. Все МК работают на частоте 25 Мгц, имеют встроенные в чип средства отладки и совместимы по программному обеспечению с МК 68HC11 и 68HC12. Каждый из новых МК включает также: - 1К байт EEPROM - 4K байт RAM - 16-канальный, 10-битный ADC - 8-канальный, 16-битный таймер - 4-канальный, 16-битный или 8-канальный 8-битный PWM - один SPI - два SCI - один I2C HC9S12D64 включает дополнительно CAN 2.0 A,B (MSCAN12). HC9S12DJ64 включает дополнительно BDLC для поддержки коммуникационного протокола J1850. Все флеш-МК от Motorola могут перепрограммироваться непосредственно в изделии, в том числе и удаленно со скоростью 16 бит за 20 микросекунд. Архитектура HCS12 оптимизирована по язык C и пользователи отмечают компактность кодов для HCS12 по сравнению с другими 16-разрядными архитектурами. BDM (Background Debug Mode) на МК HCS12 использует интерфейс, предназначенный для внутрисхемной эмуляции систем в реальном времени без воздействия на реальное функционирование. Средства отладки включают также CodeWarrior от Metrowerks. Цена HC9S12A64, HC9S12D64 и HC9S12DJ64 от $7.00 до $8.30 в партиях до 1000 штук. Дополнительная информация : http://www.motorola.com/mcu http://www.motorola.com/semiconductors 2.3.2. Обобщения и выводы Сокращение времени выхода готового изделия на рынок и дешевизна изделия - ключевые факторы захвата им своей ниши на потребительском рынке. Микроконтроллеры уже много лет служат надежной основой цифровых электронных изделий и потому стремительно совершенствуются большим количеством фирм-производителей. Каковы направления этого совершенствования? Способность интегрироваться в сеть Интернет ввиду своей важности выделена в отдельный пункт (11.3. Сетевые микроконтроллеры). Все другие направления совершенствования пока сосредоточены в текущем пункте. Какие тенденции могут быть отмечены по материалам ноября-декабря? а) специализация под конкретную прикладную область созданием готовых платформ, объединяющих программное, аппаратное обеспечение, схемы и документацию: - для систем распознавания отпечатков пальцев (Motorola: на базе МК ColdFire MCF5249) - для смарт-карт мобильных телефонов и PDA (Atmel: семейство Smart Card Reader на базе системы команд 8051) б) пополнение архитектуры микроконтроллеров аппаратными компонентами для конкретной прикладной области: - для цифровой обработки сигналов (Motorola: MCU/DSP утсройства семейства 56F83x) - для шифрования/дешифрования (Atmel: на базе флеш- микроконтроллера AVR) в) оптимизация микроконтроллеров по физическим параметрам: - потребление энергии (Atmel: Mega 169) г) развитие семейств совместимых МК, перекрывающих как можно более широкий спектр потенциальных потребностей пользователей (Motorola: HCS12) 2.4. "12. Обучение - ключ к продаже. 12.3. Университетские программы" 2.4.1. Только факты 4 декабря Esterel Technologies объединяется с университетами для обучения студентов продвинутым методам разработки программного обеспечения и проектирования аппаратного обеспечения 50 университетов от Индии до Швеции, Канады и США получили SCADE и Esterel Studio для обучения студентов. Esterel Studio используется для валидации SoC и разработки встроенных систем для беспроводных телекоммуникационных протоколов. SCADE стала стандартом де-факто в разработке корректного "по-построению" программного обеспечения для гражданской авионики. Университеты, которые желают принять участие в Академической программе Esterel Technologies могут связаться с координатором Amandine Roy - amandine.roy@esterel-technologies.com Дополнительная информация : http://www.esterel-technologies.com/corporate/academic_program.htm http://www.esterel-technologies.com http://eig.unige.ch/lii/ 2.4.2. Обобщения и выводы Очевидно, что важным фактором продажи является известность продукта покупателям. Поэтому многие крупные фирмы активно развивают свои университетские программмы, чтобы внедрять свои продукты в сознание разработчиков еще во время их обучения в вузах. Отечественным вузам надо активнее включаться в такие программы. Это повысит качество обучения, поднимет уровень отечественных разработчиков, а также даст им основы для разработки более совершенных продуктов в будущем. 2.5. "12. Обучение - ключ к продаже. 12.4. Обучение через партнерские программы с центрами проектирования" 2.5.1. Только факты 4 ноября Verisity укрепляется в Европе и Азии Vericity представляется фирмами CyberTec и LSI Systems в Японии, Maojet Technology Corporation на Тайване, KT Design в Корее, Reliant Electronic Design Services Pte Ltd. в Сингапуре, CMR Design Automation Ltd. в Индии. Сегодня функциональная верификация занимает от 50 до 70% ресурсов, поэтому компании всего мира ищут новые, более эффективные методы проверки корректности функционирования прежде чем изготавливать проект в силиконе. Дополнительная информация : http://www.verisity.com 7 ноября Xilinx и AMCC создают платформу для ускорения разработок систем типа 10 GBPS NPU для высоко- производительных серверов и мультисервисных свичей AMCC недавно присоединилась к Xilinx Reference Design Alliance Program. "ViX v3 to SPI4 bridge" - первая такая платформа. Цель Xilinx Reference Design Alliance Program - сократить время выхода на рынок разработок, базирующихся на чипах от Xilinx. Дополнительная информация : http://www.xilinx.com/company/reference_design/amcc.htm http://www.xilinx.com/company/reference_design http://www.amcc.com 14 ноября Flextronics Semiconductor стала первым партнером в новой программе лицензирования ARM Новая программа позволяет Flextronics Semiconductor лицензировать некоторые ARM-технологии своим пользователям. Сейчас через Flextronics могут лицензироваться ARM7TDMI, ARM922T и ARM946E. Предполагается расширение этого списка. С июля 2002 года Flextronics была членом ATAP (ARM Technology Access Program). Flextronics Semiconductor имеет центры проектирования и серсиные службы по всему миру. Дополнительная информация : http://www.flextronics.com/semiconductor http://www.arm.com 11 декабря Mentor Graphics и Siemens CES Design Services - партнеры по программе FAST (FPGA Advantage Solutions Thrust) Программа FAST обеспечивает сервисным центрам доступ к FPGA Advantage. Siemens CES Design Services базируется в Вене (Австрия), но действует и в Европе, и в Северной Америке. Участие в FAST обеспечивается небольшой начальной платой и ежегодным взносом. Дополнительная информация : http://www.mentor.com/consulting/fpga_partners http://www.CES-DesignServices.com 18 декабря HCL Technologies присоединяется к ARM ATAP Program HCL Technologies - ведущий в Индии IT сервис-провайдер. В ARM ATAP Program сейчас 37 членов-фирм (более 3,550 инженеров). Что бы стать членом ARM ATAP Program, нужно пройти определенную процедуру сертификации, включая аудит процесса проектирования, обучение разработке проектов на базе ядер ARM, интерфейса AMBA и соответствующих средств разработки. Дополнительная информация : http://www.hcltech.com http://www.arm.com 2.5.2. Обобщения и выводы Мир современного проектирования электронных устройств чрезвычайно сложен. И потому, как грибы, возникли и продолжают развиваться по всему миру центры проектирования, которые существуют, выполняя заказы от производителей на проектирование тех или иных изделий. Такие центры проектирования естественным образом притягательны для разработчиков EDA-средств, производителей ПЛИС и микроконтроллеров. Поэтому в большом количестве устанавливаются соответствующие партнерские соглашения (Verisity - в Европе и Азии) и даже разворачиваются партнерские программы (Xilinx Reference Design Alliance Program, ARM Technology Access Program, Mentor FPGA Advantage Solutions Thrust). По мнению автора, отечественные коллективы разработчиков должны стремиться стать участниками таких партнерских программ, в частности, из следующих соображений. 1) Чтобы стать членом такой программы необходимо пройти определенную сертификацию у ее организаторов - а это выводит соответствующий коллектив на новый уровень качества разработок 2) Сертификация повышает рейтинг коллектива в глазах у потенциальных заказчиков 3) Глобализация - естественная тенденция развития цивилизации, и потому эффективное участие в международном разделении труда уже в ближайшем будущем станет НЕОБХОДИМЫМ условием успешности функционирования. 2.6. "13. Другие ключи к продаже. 13.1. Передача маркетинга на сторону" 2.6.1. Только факты 18 ноября Esterel Technologies и TekSci - партнеры Esterel Technologies обеспечит TekSci своим продуктом SCADE Suite - ведущий в Европе продукт по разработке встроенного программного обеспечения в соответствии со стандартами авионики, включая DO 178B. SCADE Suite активно используется такими компаниями как Airbus, Eurocopter и Dassault Aviation. Последнее время SCADE начинает получать признание в США, например в таких фирмах как Pratt-Whitney, Rockwell Collins, Hispano Suiza, Aircraft Braking Systems. TekSci займется поддержкой и продвижением SCADE в США. TekSci основана в 1989 году. Esterel Technologies - международная компания с офисами во Франции, Германии, Великобритании и США. Дополнительная информация : http://www.esterel-technologies.com 6 декабря Axis Systems и Summit Design стали клиентами VitalCom VitalCom - маркетинговое и рекламное агентство для EDA-компаний, основанное в 1993 году. Axis Systems и Summit Design поставляют средства верификации. Дополнительная информация : http://www.axiscorp.com http://www.sd.com http://www.vitalcompr.com 10 декабря e*ECAD предлагает DiaLite Instrumentation (DLI) - средства отладки и верификации FPGA от Temento Systems DLI обеспечивает отладку и визуализацию непосредственно в FPGA используя встроенное инструментирование. DLI встраивает в проект логический анализатор, анализатор трафика шин, псевдослучайные генераторы, glitch-детекторы, триггеры и другие IP-компоненты. Эти компоненты позволяют анализировать поведение всех сигналов внутри FPGA. DLI на одной плате позволяет работать с FPGA от различных производителей таких как Xilinx, Altera, Lattice, Quicklogic. DLI имеет графический пользовательский интерфейс. DLI использует языки Verilog и VHDL и совместим с большинсвом коммерчески доступных синтезаторов. Текущая версия DLI - Leading Edge 1.3 доступна для Windows через www.eecad.com на основе помесячной или годовой подписки, или постоянной лицензии ($2100). Обеспечивается техническая поддержка. e*ECAD распространяет EDA-средства от известных и начинающих компаний различными способами аренды и продажи. Дополнительная информация : http://www.eecad.com 2.6.2. Обобщения и выводы Разделение труда - тенденция с многовековыми традициями - добралось и до столь специфической деятельности как EDA-индустрия. Но если выделение проектирование от производства, выразившееся в создании так называемых fabless-компаний и служб проектирования уже было отмечено нами ранее, то теперь отмечена и тенденция выделения в отдельные независимые компании фирм, занимающихся собственно маркетингом и продажей IP-компонент, программных и аппаратных продуктов от разных производителей. В частности в данном обзоре в качестве таких фирм-распространителей упомянуты TekSci (основана в 1989 году), VitalCom (1993), e*ECAD (дата основания пока неизвестна, впервые упомянута в dacafe-новостях в октябре 2001 года). Клиентами таких фирм-распространителей, прежде всего, становятся фирмы, не имеющие достаточно средств для того, чтобы вести полноценную маркетинговую деятельность. В этом смысле практически все отечественные коллективы разработчиков в области EDA-индустрии должны рассмотреть возможность продвижения своих продуктов на мировой рынок посредством таких фирм распространителей как TekSci, VitalCom и e*ECAD. Интересно отметить тот факт, что в России имеются свои (известные автору) фирмы, занимающаяся подобной деятельностью - в частности, "ЭлектронТрейд" (Москва), "ЭФО" (Санкт-Петербург). 2.7. "13. Другие ключи к продаже. 13.2. Расширение географии" 2.7.1. Только факты 7 ноября Motorola строит в Индии (Bangalore) один из самых больших научно-исследовательских центров Открытие центра намечено на январь 2004 года. Сейчас Motorola имеет два центра разработки в Bangalore и один Hyderabad. Центры занимаются разработкой программного обеспечения для Motorola. 26 ноября Toshiba открывает новый центр проектирования в Сан-Диего TAEC - подразделение Toshiba занимается проектированием сложных электронных систем. Дополнительная информация : http://chips.toshiba.com 13 ноября Xilinx расширяет свое присутствие в Китае - открылся новый офис в Shenzhen. Это второй офис Xilinx в Китае. Первый был открыт в Шанхае (в начале 1990-х). Дополнительная информация : http://www.xilinx.com http://www.isuppli.com http://www.igigroup.com 2.7.2. Обобщения и выводы Стать новым географическим пунктом в деятельности таких фирм как Motorola, Xilinx, Toshiba, в особенности в качестве научно- исследовательского центра или центра проектирования - это важная задача как для отдельных отечественных коллективов так и для страны в целом. В этом смысле на правительственном уровне должны быть приняты решения, способствующие стремлению фирм типа Motorola и др. создавать такие центры не только в Индии и Китае, но и в России и Беларуси. 2.8. "14. Интернет-технологии на службе EDA-индустрии" 2.8.1. Только факты 1 ноября Xilinx и IBM Microelectronics используют Web Services Network фирмы Grand Central Communications для обмена данными о тестировании продуктов IBM Microelectronics и Xilinx создали модель сотрудничества при проектировании и разработке, которая позволяет быстрее внедрять инновации. IBM Microelectronics предлагает полный набор средств тестирования для ведущих производителей чипов, включая Xilinx. Ранее данные тестирования передавались пользователям с помощью протокола FTP, а теперь - с помощью более надежного и безопасного протокола HTTPS. Grand Central Communications - провайдер Web Services Network, обеспечивающей надежное и безопасное соединение партнеров. Дополнительная информация : http://www.grandcentral.com 2 декабря Cadence использует SpaceCruiser Web Collaboration фирмы Oridus SpaceCruiser обеспечит инженеров и центры поддержки Cadence клиент-серверным софтом для разделения деск-топов и web-конференций. SpaceCruiser выбран за производительность, безопасность и мультиплатформенность, обеспечивает удаленное исполнение продуктов Cadence. Дополнительная информация : http://www.Oridus.com 2.8.2. Обобщения и выводы Интернет-технологии начинают проникать и собственно в средства разработки. Это безусловно повысит производительность труда разработчиков и качество разработок и будет способствовать дальнейшему совершенствованию международного разделения труда и глобализации процессов разработки. Все это, в свою очередь, повышает шансы отечественных разработчиков эффективно участвовать в международном разделении труда. Необходимым условием становится качественная электронная связь. И, по мнению автора, это тоже задача, которая должна ставиться, решаться и контролироваться на уровне правительства. 2.9. "15. Специализированые СБИС" 2.9.1. Только факты 18 ноября LSI Logic анонсирует два аудио-видео кодека DMN-8100, DMN-8150 Кодеки позволяют принимать видео контент с любого аналогового/ цифрового камкодера, VCR или TV тюнера и посылать их на PC через UCB порт. Контент может быть сархивирован сохранен на CD, DVD, Flash-карте или DV-tape. Поддерживаются видео-форматы MPEG-1, MPEG-2, MPEG-4, а также аудио-форматы AAC, MP3, AC3. Цена на кодеки $50 и $35 в партиях по 10,000. Дополнительная информация : http://www.lsilogic.com 18 ноября Agere Systems демонстрирует первый сетевой беспроводной чип, обеспечивающий обмен 162 Мбит/сек Чип предназначен для передачи высококачественного видео в беспроводных сетях по стандарту 802.11 в диапазоне до 5 ГГц. По прогнозам аналитиков потребность в подобных устройствах возрастет с 6 миллионов в 2002 году до 25 миллионов в 2005 году. Общий рынок устройств, работающих по стандарту 802.11 составит 60 миллионов штук в 2005 году. Чип от Agere базируется на двух новых технологиях: MIMO (Multiple Input Multiple Output) и OFDM (Orthogonal Frequency Division Multiplexing). OFDM разбивает полосу пропускания на значительное число параллельно работающих подканалов, с защитой от интерференции. MIMO обеспечивается несколькими антеннами, передающими потоки до 54 Мбит/сек. Дополнительная информация : http://www.agere.com 25 ноября Texas Instruments выпустила очередную мультимиллионную высокоскоростную ASIC ASIC содержит 20 миллионов вентилей, работает на частоте 312 Мгц, имеет около 1000 контактов. 3 декабря Tarari внедряет технологию от Xilinx для реконфигурируемой обработки WEB-контента Tarari - это уникальный поставщик устройств для ускорения обработки WEB-контента. "Контент-процессоры" от Tarari встраиваются в сервера и сетевые устройства, позволяя инспекцию WEB-контента на сетевых скоростях. Дополнительная информация : http://www.tarari.com http://www.xilinx.com 2.9.2. Обобщения и выводы Современные средства проектирования цифровых электронных устройств позволяют создание новых приборов достаточно широкому кругу разработчиков. Это означает, что угадав, что проектировать и выпускать, отечественные производители электронных приборов должны искать и находить свое место как на отечественном, так и на мировом рынке электронных изделий. Среди отметившихся в новостях СБИС есть как ожидаемые устройства типа аудио-видео кодеков от LSI Logic или сетевой беспроводной чип от Agere Systems, так и менее прогнозируемые "контент-процессоры" от Tarari. Заключение Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала http://www.dacafe.com в период с января 2001 по декабрь 2002 года. Подробно, в порядке установленной классификации, рассмотрены новости за ноябрь-декабрь 2002 года. Полный текст хронологических DACAFE-новостей с января 2001 года можно найти по адресу: http://NewIT.gsu.unibel.by Цель данных материалов - помочь участникам EDA-индустрии (создателям средств атоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонент и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA и найти свое место в этом процессе.